coreboot
coreboot is an Open Source project aimed at replacing the proprietary BIOS found in most computers.
acpigen_ps2_keybd.c
Go to the documentation of this file.
1 /* SPDX-License-Identifier: GPL-2.0-or-later */
2 
3 #include <acpi/acpigen.h>
5 #include <console/console.h>
6 #include <input-event-codes.h>
7 #include <stdbool.h>
8 #include <stdint.h>
9 
10 #define KEYMAP(scancode, keycode) (((uint32_t)(scancode) << 16) | (keycode & 0xFFFF))
11 #define SCANCODE(keymap) ((keymap >> 16) & 0xFFFF)
12 
13 /* Possible keymaps for function keys in the top row */
14 static const uint32_t function_keymaps[] = {
15  KEYMAP(0x3b, KEY_F1),
16  KEYMAP(0x3c, KEY_F2),
17  KEYMAP(0x3d, KEY_F3),
18  KEYMAP(0x3e, KEY_F4),
19  KEYMAP(0x3f, KEY_F5),
20  KEYMAP(0x40, KEY_F6),
21  KEYMAP(0x41, KEY_F7),
22  KEYMAP(0x42, KEY_F8),
23  KEYMAP(0x43, KEY_F9),
24  KEYMAP(0x44, KEY_F10),
25  KEYMAP(0x57, KEY_F11),
26  KEYMAP(0x58, KEY_F12),
27  KEYMAP(0x59, KEY_F13),
28  KEYMAP(0x5a, KEY_F14),
29  KEYMAP(0x5b, KEY_F15),
30 };
31 
32 /*
33  * Possible keymaps for action keys in the top row. This is a superset of
34  * possible keys. Individual keyboards will have a subset of these keys.
35  * The scancodes are true / condensed 1 byte scancodes from set-1
36  */
37 static const uint32_t action_keymaps[] = {
38  [PS2_KEY_BACK] = KEYMAP(0xea, KEY_BACK), /* e06a */
39  [PS2_KEY_FORWARD] = KEYMAP(0xe9, KEY_FORWARD), /* e069 */
40  [PS2_KEY_REFRESH] = KEYMAP(0xe7, KEY_REFRESH), /* e067 */
41  [PS2_KEY_FULLSCREEN] = KEYMAP(0x91, KEY_FULL_SCREEN), /* e011 */
42  [PS2_KEY_OVERVIEW] = KEYMAP(0x92, KEY_SCALE), /* e012 */
43  [PS2_KEY_VOL_MUTE] = KEYMAP(0xa0, KEY_MUTE), /* e020 */
44  [PS2_KEY_VOL_DOWN] = KEYMAP(0xae, KEY_VOLUMEDOWN), /* e02e */
45  [PS2_KEY_VOL_UP] = KEYMAP(0xb0, KEY_VOLUMEUP), /* e030 */
46  [PS2_KEY_PLAY_PAUSE] = KEYMAP(0x9a, KEY_PLAYPAUSE), /* e01a */
47  [PS2_KEY_NEXT_TRACK] = KEYMAP(0x99, KEY_NEXTSONG), /* e019 */
48  [PS2_KEY_PREV_TRACK] = KEYMAP(0x90, KEY_PREVIOUSSONG), /* e010 */
49  [PS2_KEY_SNAPSHOT] = KEYMAP(0x93, KEY_SYSRQ), /* e013 */
51  [PS2_KEY_BRIGHTNESS_UP] = KEYMAP(0x95, KEY_BRIGHTNESSUP), /* e015 */
52  [PS2_KEY_KBD_BKLIGHT_DOWN] = KEYMAP(0x97, KEY_KBDILLUMDOWN), /* e017 */
53  [PS2_KEY_KBD_BKLIGHT_UP] = KEYMAP(0x98, KEY_KBDILLUMUP), /* e018 */
54  [PS2_KEY_PRIVACY_SCRN_TOGGLE] = KEYMAP(0x96, /* e016 */
56  [PS2_KEY_MICMUTE] = KEYMAP(0x9b, KEY_MICMUTE), /* e01b */
58  [PS2_KEY_MENU] = KEYMAP(0xdd, KEY_CONTROLPANEL), /* e0d5 */
59 };
60 
61 /* Keymap for numeric keypad keys */
63  /* Row-0 */
64  KEYMAP(0xc9, KEY_PAGEUP),
65  KEYMAP(0xd1, KEY_PAGEDOWN),
66  KEYMAP(0xc7, KEY_HOME),
67  KEYMAP(0xcf, KEY_END),
68  /* Row-1 */
69  KEYMAP(0xd3, KEY_DELETE),
70  KEYMAP(0xb5, KEY_KPSLASH),
71  KEYMAP(0x37, KEY_KPASTERISK),
72  KEYMAP(0x4a, KEY_KPMINUS),
73  /* Row-2 */
74  KEYMAP(0x47, KEY_KP7),
75  KEYMAP(0x48, KEY_KP8),
76  KEYMAP(0x49, KEY_KP9),
77  KEYMAP(0x4e, KEY_KPPLUS),
78  /* Row-3 */
79  KEYMAP(0x4b, KEY_KP4),
80  KEYMAP(0x4c, KEY_KP5),
81  KEYMAP(0x4d, KEY_KP6),
82  /* Row-4 */
83  KEYMAP(0x4f, KEY_KP1),
84  KEYMAP(0x50, KEY_KP2),
85  KEYMAP(0x51, KEY_KP3),
86  KEYMAP(0x9c, KEY_KPENTER),
87  /* Row-5 */
88  KEYMAP(0x52, KEY_KP0),
89  KEYMAP(0x53, KEY_KPDOT),
90 };
91 
92 /*
93  * Keymap for rest of non-top-row keys. This is a superset of all the possible
94  * keys that any chromeos keyboards can have.
95  */
97  /* Row-0 */
98  KEYMAP(0x01, KEY_ESC),
99  /* Row-1 */
100  KEYMAP(0x29, KEY_GRAVE),
101  KEYMAP(0x02, KEY_1),
102  KEYMAP(0x03, KEY_2),
103  KEYMAP(0x04, KEY_3),
104  KEYMAP(0x05, KEY_4),
105  KEYMAP(0x06, KEY_5),
106  KEYMAP(0x07, KEY_6),
107  KEYMAP(0x08, KEY_7),
108  KEYMAP(0x09, KEY_8),
109  KEYMAP(0x0a, KEY_9),
110  KEYMAP(0x0b, KEY_0),
111  KEYMAP(0x0c, KEY_MINUS),
112  KEYMAP(0x0d, KEY_EQUAL),
113  KEYMAP(0x7d, KEY_YEN), /* JP keyboards only */
114  KEYMAP(0x0e, KEY_BACKSPACE),
115  /* Row-2 */
116  KEYMAP(0x0f, KEY_TAB),
117  KEYMAP(0x10, KEY_Q),
118  KEYMAP(0x11, KEY_W),
119  KEYMAP(0x12, KEY_E),
120  KEYMAP(0x13, KEY_R),
121  KEYMAP(0x14, KEY_T),
122  KEYMAP(0x15, KEY_Y),
123  KEYMAP(0x16, KEY_U),
124  KEYMAP(0x17, KEY_I),
125  KEYMAP(0x18, KEY_O),
126  KEYMAP(0x19, KEY_P),
127  KEYMAP(0x1a, KEY_LEFTBRACE),
128  KEYMAP(0x1b, KEY_RIGHTBRACE),
129  KEYMAP(0x2b, KEY_BACKSLASH),
130  /* Row-3 */
131  KEYMAP(0xdb, KEY_LEFTMETA), /* Search Key */
132  KEYMAP(0x1e, KEY_A),
133  KEYMAP(0x1f, KEY_S),
134  KEYMAP(0x20, KEY_D),
135  KEYMAP(0x21, KEY_F),
136  KEYMAP(0x22, KEY_G),
137  KEYMAP(0x23, KEY_H),
138  KEYMAP(0x24, KEY_J),
139  KEYMAP(0x25, KEY_K),
140  KEYMAP(0x26, KEY_L),
141  KEYMAP(0x27, KEY_SEMICOLON),
142  KEYMAP(0x28, KEY_APOSTROPHE),
143  KEYMAP(0x1c, KEY_ENTER),
144  /* Row-4 */
145  KEYMAP(0x2a, KEY_LEFTSHIFT),
146  KEYMAP(0x56, KEY_102ND), /* UK keyboards only */
147  KEYMAP(0x2c, KEY_Z),
148  KEYMAP(0x2d, KEY_X),
149  KEYMAP(0x2e, KEY_C),
150  KEYMAP(0x2f, KEY_V),
151  KEYMAP(0x30, KEY_B),
152  KEYMAP(0x31, KEY_N),
153  KEYMAP(0x32, KEY_M),
154  KEYMAP(0x33, KEY_COMMA),
155  KEYMAP(0x34, KEY_DOT),
156  KEYMAP(0x35, KEY_SLASH),
157  KEYMAP(0x73, KEY_RO), /* JP keyboards only */
158  KEYMAP(0x36, KEY_RIGHTSHIFT),
159  /* Row-5 */
160  KEYMAP(0x1d, KEY_LEFTCTRL),
161  KEYMAP(0x38, KEY_LEFTALT),
162  KEYMAP(0x7b, KEY_MUHENKAN), /* JP keyboards only */
163  KEYMAP(0x39, KEY_SPACE),
164  KEYMAP(0x79, KEY_HENKAN), /* JP keyboards only */
165  KEYMAP(0xb8, KEY_RIGHTALT),
166  KEYMAP(0x9d, KEY_RIGHTCTRL),
167  /* Arrow keys */
168  KEYMAP(0xcb, KEY_LEFT),
169  KEYMAP(0xd0, KEY_DOWN),
170  KEYMAP(0xcd, KEY_RIGHT),
171  KEYMAP(0xc8, KEY_UP),
172  /* Power Key */
173  KEYMAP(0xde, KEY_POWER),
174 };
175 
176 static void ssdt_generate_physmap(struct acpi_dp *dp, uint8_t num_top_row_keys,
177  enum ps2_action_key action_keys[])
178 {
179  struct acpi_dp *dp_array;
180  enum ps2_action_key key;
181  uint32_t keymap, i;
182 
183  dp_array = acpi_dp_new_table("function-row-physmap");
184  if (!dp_array) {
185  printk(BIOS_ERR, "PS2K: couldn't write function-row-physmap\n");
186  return;
187  }
188 
189  printk(BIOS_INFO, "PS2K: Physmap: [");
190  for (i = 0; i < num_top_row_keys; i++) {
191  key = action_keys[i];
192  if (key && key < ARRAY_SIZE(action_keymaps)) {
193  keymap = action_keymaps[key];
194  } else {
195  keymap = 0;
197  "PS2K: invalid top-action-key-%u: %u(skipped)\n",
198  i, key);
199  }
200  acpi_dp_add_integer(dp_array, NULL, SCANCODE(keymap));
201  printk(BIOS_INFO, " %X", SCANCODE(keymap));
202  }
203 
204  printk(BIOS_INFO, " ]\n");
205  acpi_dp_add_array(dp, dp_array);
206 }
207 
208 static void ssdt_generate_keymap(struct acpi_dp *dp, uint8_t num_top_row_keys,
209  enum ps2_action_key action_keys[],
210  bool can_send_function_keys,
211  bool has_numeric_keypad,
212  bool has_scrnlock_key)
213 {
214  struct acpi_dp *dp_array;
215  enum ps2_action_key key;
216  uint32_t keymap;
217  unsigned int i, total = 0;
218 
219  dp_array = acpi_dp_new_table("linux,keymap");
220  if (!dp_array) {
221  printk(BIOS_ERR, "PS2K: couldn't write linux,keymap\n");
222  return;
223  }
224 
225  /* Write out keymap for top row action keys */
226  for (i = 0; i < num_top_row_keys; i++) {
227  key = action_keys[i];
228  if (!key || key >= ARRAY_SIZE(action_keymaps)) {
230  "PS2K: invalid top-action-key-%u: %u\n", i, key);
231  continue;
232  }
233  keymap = action_keymaps[key];
234  acpi_dp_add_integer(dp_array, NULL, keymap);
235  total++;
236  }
237 
238  /* Write out keymap for function keys, if keyboard can send them */
239  if (can_send_function_keys) {
240  for (i = 0; i < num_top_row_keys; i++) {
241  keymap = function_keymaps[i];
242  acpi_dp_add_integer(dp_array, NULL, keymap);
243  }
244 
245  total += num_top_row_keys;
246  }
247 
248  /* Write out keymap for numeric keypad, if the keyboard has it */
249  if (has_numeric_keypad) {
250  for (i = 0; i < ARRAY_SIZE(numeric_keypad_keymaps); i++) {
251  keymap = numeric_keypad_keymaps[i];
252  acpi_dp_add_integer(dp_array, NULL, keymap);
253  }
254 
256  }
257 
258  /* Provide keymap for screenlock only if it is present */
259  if (has_scrnlock_key) {
260  acpi_dp_add_integer(dp_array, NULL, KEYMAP(0x5d, KEY_SLEEP));
261  total++;
262  }
263 
264  /* Write out keymap for rest of keys */
265  for (i = 0; i < ARRAY_SIZE(rest_of_keymaps); i++) {
266  keymap = rest_of_keymaps[i];
267  acpi_dp_add_integer(dp_array, NULL, keymap);
268  }
269 
270  total += ARRAY_SIZE(rest_of_keymaps);
271  printk(BIOS_INFO, "PS2K: Passing %u keymaps to kernel\n", total);
272 
273  acpi_dp_add_array(dp, dp_array);
274 }
275 
276 void acpigen_ps2_keyboard_dsd(const char *scope, uint8_t num_top_row_keys,
277  enum ps2_action_key action_keys[],
278  bool can_send_function_keys,
279  bool has_numeric_keypad,
280  bool has_scrnlock_key)
281 {
282  struct acpi_dp *dsd;
283 
284  if (!scope ||
285  num_top_row_keys < PS2_MIN_TOP_ROW_KEYS ||
286  num_top_row_keys > PS2_MAX_TOP_ROW_KEYS) {
287  printk(BIOS_ERR, "PS2K: %s: invalid args\n", __func__);
288  return;
289  }
290 
291  dsd = acpi_dp_new_table("_DSD");
292  if (!dsd) {
293  printk(BIOS_ERR, "PS2K: couldn't write _DSD\n");
294  return;
295  }
296 
297  acpigen_write_scope(scope);
298  ssdt_generate_physmap(dsd, num_top_row_keys, action_keys);
299  ssdt_generate_keymap(dsd, num_top_row_keys, action_keys,
300  can_send_function_keys, has_numeric_keypad,
301  has_scrnlock_key);
302  acpi_dp_write(dsd);
303  acpigen_pop_len(); /* Scope */
304 }
struct acpi_dp * acpi_dp_add_array(struct acpi_dp *dp, struct acpi_dp *array)
Definition: device.c:1052
struct acpi_dp * acpi_dp_add_integer(struct acpi_dp *dp, const char *name, uint64_t value)
Definition: device.c:977
void acpi_dp_write(struct acpi_dp *table)
Definition: device.c:898
struct acpi_dp * acpi_dp_new_table(const char *name)
Definition: device.c:930
void acpigen_pop_len(void)
Definition: acpigen.c:37
void acpigen_write_scope(const char *name)
Definition: acpigen.c:326
static const uint32_t action_keymaps[]
static void ssdt_generate_keymap(struct acpi_dp *dp, uint8_t num_top_row_keys, enum ps2_action_key action_keys[], bool can_send_function_keys, bool has_numeric_keypad, bool has_scrnlock_key)
#define SCANCODE(keymap)
static void ssdt_generate_physmap(struct acpi_dp *dp, uint8_t num_top_row_keys, enum ps2_action_key action_keys[])
void acpigen_ps2_keyboard_dsd(const char *scope, uint8_t num_top_row_keys, enum ps2_action_key action_keys[], bool can_send_function_keys, bool has_numeric_keypad, bool has_scrnlock_key)
static uint32_t rest_of_keymaps[]
static uint32_t numeric_keypad_keymaps[]
#define KEYMAP(scancode, keycode)
static const uint32_t function_keymaps[]
#define PS2_MAX_TOP_ROW_KEYS
#define PS2_MIN_TOP_ROW_KEYS
ps2_action_key
@ PS2_KEY_REFRESH
@ PS2_KEY_PRIVACY_SCRN_TOGGLE
@ PS2_KEY_KBD_BKLIGHT_TOGGLE
@ PS2_KEY_PREV_TRACK
@ PS2_KEY_SNAPSHOT
@ PS2_KEY_MICMUTE
@ PS2_KEY_VOL_MUTE
@ PS2_KEY_KBD_BKLIGHT_DOWN
@ PS2_KEY_OVERVIEW
@ PS2_KEY_VOL_UP
@ PS2_KEY_MENU
@ PS2_KEY_BRIGHTNESS_DOWN
@ PS2_KEY_KBD_BKLIGHT_UP
@ PS2_KEY_BACK
@ PS2_KEY_FORWARD
@ PS2_KEY_VOL_DOWN
@ PS2_KEY_FULLSCREEN
@ PS2_KEY_BRIGHTNESS_UP
@ PS2_KEY_PLAY_PAUSE
@ PS2_KEY_NEXT_TRACK
#define ARRAY_SIZE(a)
Definition: helpers.h:12
#define printk(level,...)
Definition: stdlib.h:16
#define KEY_RIGHT
#define KEY_A
#define KEY_BRIGHTNESSDOWN
#define KEY_APOSTROPHE
#define KEY_U
#define KEY_KBDILLUMDOWN
#define KEY_F12
#define KEY_YEN
#define KEY_PLAYPAUSE
#define KEY_P
#define KEY_PAGEDOWN
#define KEY_KP1
#define KEY_KP4
#define KEY_SYSRQ
#define KEY_LEFTSHIFT
#define KEY_DOT
#define KEY_GRAVE
#define KEY_KP6
#define KEY_V
#define KEY_DELETE
#define KEY_BACKSPACE
#define KEY_Q
#define KEY_DOWN
#define KEY_4
#define KEY_C
#define KEY_MUTE
#define KEY_KPENTER
#define KEY_F1
#define KEY_F5
#define KEY_PRIVACY_SCREEN_TOGGLE
#define KEY_KPDOT
#define KEY_SCALE
#define KEY_N
#define KEY_RIGHTBRACE
#define KEY_RIGHTSHIFT
#define KEY_I
#define KEY_KP5
#define KEY_F3
#define KEY_2
#define KEY_FORWARD
#define KEY_LEFTMETA
#define KEY_MINUS
#define KEY_F9
#define KEY_F15
#define KEY_KP3
#define KEY_6
#define KEY_RO
#define KEY_TAB
#define KEY_SLEEP
#define KEY_0
#define KEY_REFRESH
#define KEY_7
#define KEY_1
#define KEY_BRIGHTNESSUP
#define KEY_J
#define KEY_NEXTSONG
#define KEY_L
#define KEY_CONTROLPANEL
#define KEY_102ND
#define KEY_COMMA
#define KEY_S
#define KEY_3
#define KEY_LEFTCTRL
#define KEY_E
#define KEY_VOLUMEDOWN
#define KEY_RIGHTALT
#define KEY_F8
#define KEY_HENKAN
#define KEY_Z
#define KEY_F7
#define KEY_X
#define KEY_5
#define KEY_MICMUTE
#define KEY_ESC
#define KEY_BACKSLASH
#define KEY_SPACE
#define KEY_KPMINUS
#define KEY_KP7
#define KEY_END
#define KEY_RIGHTCTRL
#define KEY_K
#define KEY_KP0
#define KEY_R
#define KEY_SEMICOLON
#define KEY_MUHENKAN
#define KEY_FULL_SCREEN
#define KEY_F4
#define KEY_ENTER
#define KEY_KP8
#define KEY_KPASTERISK
#define KEY_F11
#define KEY_KPPLUS
#define KEY_POWER
#define KEY_G
#define KEY_H
#define KEY_F
#define KEY_LEFTALT
#define KEY_KBDILLUMUP
#define KEY_KP2
#define KEY_D
#define KEY_8
#define KEY_B
#define KEY_F6
#define KEY_F10
#define KEY_KP9
#define KEY_F14
#define KEY_BACK
#define KEY_PAGEUP
#define KEY_Y
#define KEY_T
#define KEY_O
#define KEY_PREVIOUSSONG
#define KEY_LEFTBRACE
#define KEY_M
#define KEY_F2
#define KEY_9
#define KEY_EQUAL
#define KEY_SLASH
#define KEY_KPSLASH
#define KEY_VOLUMEUP
#define KEY_LEFT
#define KEY_HOME
#define KEY_F13
#define KEY_UP
#define KEY_KBDILLUMTOGGLE
#define KEY_W
#define BIOS_INFO
BIOS_INFO - Expected events.
Definition: loglevel.h:113
#define BIOS_ERR
BIOS_ERR - System in incomplete state.
Definition: loglevel.h:72
#define NULL
Definition: stddef.h:19
unsigned int uint32_t
Definition: stdint.h:14
unsigned char uint8_t
Definition: stdint.h:8